Entradas

Mostrando entradas de noviembre, 2020

LCD y sensor ultasónico

Imagen
Los  sensores de ultrasonidos  son detectores de proximidad, es mecánicos y que detectan objetos a distancias que van desde pocos centímetros hasta varios metros. El sensor emite un sonido y mide el tiempo que la señal tarda en regresar. Estos reflejan en un objeto, el sensor recibe el eco producido y lo convierte en señales eléctricas, las cuales son elaboradas en el aparato de valoración. Estos sensores trabajan solamente en el aire, y pueden detectar objetos con diferentes formas, colores, superficies y de diferentes materiales. Podemos observar en el siguiente video como se programa para el VHDL y como trabaja el código: Video del ejercicio Autores:     López Cruz Mosiah Abinadi     Pérez Sánchez Joseph Fidel     García Esparza Luis Ignacio     Hernández Cano Yair     Ramos  Ortega Moises

Practica LCD con RS232

  La norma  RS232  describe la conexión en serie entre un aparato terminal de datos (DTE) y una instalación de transmisión de datos (DCE) con sus propiedades eléctricas y mecánicas. La librería RS232 nos ayuda a traducir los valores que se obtienen de un teclado y transcribirlo al display LCD para eso nos ayuda ese código que se explica en el siguiente video Video del ejercicio Autores:     López Cruz Mosiah Abinadi     Pérez Sánchez Joseph Fidel     García Esparza Luis Ignacio     Hernández Cano Yair     Ramos  Ortega Moises

Práctica LCD

Imagen
  Un display LCD es una pantalla delgada formada por un número de pixeles proviene del acrónimo en ingles de Liquid Crystal Display Nos ayuda a observar valores y hay de diferentes medidas, en este caso, nosotros trabajaremos con la que tiene la medida 2 x 16 En el siguiente video podemos ver el código que se utiliza para este ejemplo y se explica que se programó para que funcione: Video del ejercicio Autores:     López Cruz Mosiah Abinadi     Pérez Sánchez Joseph Fidel     García Esparza Luis Ignacio     Hernández Cano Yair     Ramos  Ortega Moises

Machine state ejercicio propuesto

  Universidad Tecnológica de Hujotzingo                                                           17 de Noviembre del 2020 El siguiente video se realizo para corroborar el funcionamiento de una maquina de estados de una serie de navidad usando VHDL con el ISE project navigator de Xilinx con su código y su simulación.

Contador Unidades y Decenas

Imagen
Universidad Tecnológica de Hujotzingo                                                           17 de Noviembre del 2020 El siguiente video se realizo para corroborar el funcionamiento de un Contador de unidades y decenas usando VHDL con el ISE project navigator de Xilinx con su código y su simulación.

JK y SR-JK

     Universidad Tecnológica de Hujotzingo                                                           17 de Noviembre del 2020 El siguiente video se realizo para corroborar el funcionamiento de un flip flop JK y SR--JK usando VHDL con el ISE project navigator de Xilinx con su código y su simulación.

Ejemplo de maquina de estados

Imagen
Para este video se hizo un repaso de la estructura del código, para el desarrollo de estos circuitos lógicos usando el lenguaje VHDL así como también su simulación usando el software de Xilinx. El enlace a Google Drive se muestra a continuación:  Ver video aquí!  

PWM con tres botones

Imagen
       Universidad Tecnológica de Hujotzingo                                                           17 de Noviembre del 2020 El siguiente video se realizo para corroborar el funcionamiento de un PWM con tres botones usando VHDL con el ISE project navigator de Xilinx con su código y su simulación.                                                                                                                      

Apuntes de clases Noviembre

Imagen
  Resumen de clases del mes de Noviembre Clase del 3 de Noviembre  Se nos mostró como funcionan el software Quartus II y que podremos encontrar en la interfaz de este software. En la parte inferior podemos encontrar las alertas que se nos arroja dentro de la programación, en la parte donde se muestran las carpetas, podemos ver el flujo de diseño del hardware y en la parte donde se encuentra el cursor se pueden observar los archivos que conforman el proyecto. Se nos mostró como configurar las entradas de tipo  STD_LOGIC_VECTOR para un display de 8 segmentos. Una opción interesantes de este programa es que tiene la opción de autocompletar código, si uno no sabe como se escribe alguna palabra o para escribir un código más rápidamente como podemos ver en la captura siguiente. A continuación podemos ver el código para este display, código que se realizó en la clase Clase del 4 de Noviembre En esta clase empezamos a ver que necesitaríamos para realizar el programa del semáforo, empezamos vie

Ejercicios Flip Flop D

Imagen
 A continuación se muestra la explicación de los ejercicios de los ejercicios asignados de flip flop tipo D Video Explicativo

Clases: Noviembre parte 2

Imagen
  Clase: 10 de Noviembre de 2020 Se realizo el siguiente ejercicio de maquinas de estado. Clase: 11 de Noviembre de 2020 Se realizo el ejercicio del semáforo en clase  library IEEE; use IEEE.STD_LOGIC_1164.ALL; entity semaforo_clase is     Port ( CLK : in  STD_LOGIC;            rst : in  STD_LOGIC;            lights  : out  STD_LOGIC_VECTOR (5 downto 0)); end semaforo_clase; architecture Behavioral of semaforo_clase is   constant t_span : integer := 1000;   signal counter  : integer range 0 to t_span+1:=0;   signal flag     : std_logic := '0';   signal lights_dummy    : std_logic_vector(5 downto 0);   type state is (E1, E2, E3, E4);   signal curs_s, nt_s : state:= E1; begin --temporizador  process (CLK)  begin       if (rising_edge(CLK)) then       counter <= counter+1;    flag <= '0';    if counter = t_span then    counter <= 0;   flag <= '1';   end if; end if; end process; --logica del estado siguiente process (rst,CLK)  begin 

Llenado de tanque en VHDL

Imagen
   Proyecto Extra!!! Para este video se hizo un repaso de la estructura del código, para el desarrollo de estos circuitos lógicos usando el lenguaje VHDL así como también su simulación usando el software de Xilinx. El enlace a Google Drive se muestra a continuación:  Ver automatización aquí!  

Flipflop SR y Flipflop JK

Imagen
  Para este video se hizo un repaso de la estructura del código, para el desarrollo de estos circuitos lógicos usando el lenguaje VHDL así como también su simulación usando el software de Xilinx. El enlace a Google Drive se muestra a continuación:  Ver video Aquí    

Latch SR, Flipflop asincrono y uso de flanco de bajada de reloj

Imagen
  Para este video se hizo un repaso de la estructura del código, para el desarrollo de estos circuitos lógicos usando el lenguaje VHDL así como también su simulación usando el software de Xilinx. El enlace a Google Drive se muestra a continuación:  Ver Aquí!  

Ejercicios Laches

Imagen
 En el siguiente link podemos encontrar los primeros ejercicios de circuitos secuenciales  conformados por los laches tipo S-R Ejercicios laches tipo S-R

Ejercicio de clase: Cambio de semáforos

Imagen
  Se realizo la simulación del cambio de los dos semáforos del ejercicio presentado en clase, utilizando código VHDL en el software de XILIN. -------LINK AL VIDEO---------

Ejercicio clase 11/10/2020 "SEMAFORO"

      Universidad Tecnológica de Hujotzingo                                                           04 de Noviembre del 2020 El siguiente video se realizo para corroborar el funcionamiento de un SEMAFORO usando VHDL con el ISE project navigator de Xilinx con su código y su simulación.                                                                                                                        l

SEMÁFORO

Imagen
  Para este video se hizo un repaso de la estructura del código, para el desarrollo de estos circuitos lógicos usando el lenguaje VHDL así como también su simulación usando el software de Xilinx. El enlace a Google Drive se muestra a continuación:    Ver video aquí!

Ejercicio de clase con tres botones

Imagen
   Se realizo una breve explicación del ejercicio en lenguaje VHDL en Xilinx, ademas de una simulación para visualizar su funcionamiento. ------VER VIDEO------

PWM con tres botones

Imagen
  Para este video se hizo un repaso de la estructura del código, para el desarrollo de estos circuitos lógicos usando el lenguaje VHDL así como también su simulación usando el software de Xilinx. El enlace a Google Drive se muestra a continuación:    Visitar video AQUI!  

Ejercicio clase 11/04/2020

Imagen
     Universidad Tecnológica de Hujotzingo                                                           04 de Noviembre del 2020 El siguiente video se realizo para corroborar el funcionamiento de un encendido y apagado de un led usando VHDL con el ISE project navigator de Xilinx con su código y su simulación.                                                                                                                            

Blink de LED

Imagen
 Para este video se hizo un repaso de la estructura del código, para el desarrollo de estos circuitos lógicos usando el lenguaje VHDL así como también su simulación usando el software de Xilinx. El enlace a Google Drive se muestra a continuación:    Ver aquí!!!

EJERCICIO DE CLASE:SEMAFORO

Imagen
  En la clase del día 04/11/2020 se realizo un ejercicio de semáforo en lenguaje VHDL utilizando el software de Xilinx. ----LINK DEL VIDEO---

Ejercicios de clase: 3/11/2020

Imagen
  El alumno realizo un video de los ejercicios para verificar  el funcionamiento de los ejercicios presentados en la clase  con el ISE project navigator de Xilinx con su código y su simulación. --VIDEO--

state machines, Latch and Flip-Flop tipe D

Imagen
   Universidad Tecnológica de Hujotzingo                                                           03 de Noviembre del 2020 El siguiente video se realizo para corroborar el funcionamiento de una maquina de estados, latch y un flip flop tipo D usando VHDL con el ISE project navigator de Xilinx con su código y su simulación.                                                                                                                           

Actividad en clase para BCD7447, Latch tipo D y Flip-flop tipo D

Imagen
Para este video se hizo un repaso de la estructura del código, para el desarrollo de estos circuitos lógicos usando el lenguaje VHDL así como también su simulación usando el software de Xilinx. El enlace a Google Drive se muestra a continuación:  Ver video aquí!!!!