EJERCICIO DE CLASE:SEMAFORO

 

En la clase del día 04/11/2020 se realizo un ejercicio de semáforo en lenguaje VHDL utilizando el software de Xilinx.


----LINK DEL VIDEO---

Comentarios

Entradas populares de este blog

Llenado de tanque en VHDL

Práctica LCD

Practica LCD con RS232