state machines, Latch and Flip-Flop tipe D

  Universidad Tecnológica de Hujotzingo                                                           03 de Noviembre del 2020

El siguiente video se realizo para corroborar el funcionamiento de una maquina de estados, latch y un flip flop tipo D usando VHDL con el ISE project navigator de Xilinx con su código y su simulación.


                                                                                                                          

Comentarios

Entradas populares de este blog

Llenado de tanque en VHDL

Práctica LCD

Practica LCD con RS232