Ejercicio clase 11/10/2020 "SEMAFORO"

    Universidad Tecnológica de Hujotzingo                                                           04 de Noviembre del 2020

El siguiente video se realizo para corroborar el funcionamiento de un SEMAFORO usando VHDL con el ISE project navigator de Xilinx con su código y su simulación.


                                                                                                                       l

Comentarios

Entradas populares de este blog

Llenado de tanque en VHDL

Práctica LCD

Practica LCD con RS232