Ejercicios Latche S-R

  Universidad Tecnológica de Hujotzingo                                                           18 de Octubre del 2020

El siguiente video se realizo para corroborar el funcionamiento de las latch usando VHDL con el ISE project navigator de Xilinx con su código y su simulación.





                                                                                                                    

Comentarios

Entradas populares de este blog

Llenado de tanque en VHDL

Práctica LCD

Practica LCD con RS232