Entradas

Mostrando entradas de diciembre, 2020

Conexión Serial

Imagen
   Universidad Tecnológica de Hujotzingo                                                          06 de Diciembre del 2020 El siguiente video se realizo para corroborar el funcionamiento de una conexión serial  usando VHDL con el ISE project navigator de Xilinx con su código y su simulación.

ALU

Imagen
 Para este video se hizo un repaso de la estructura del código, para el desarrollo de estos circuitos lógicos usando el lenguaje VHDL así como también su simulación usando el software de Xilinx. El enlace a Google Drive se muestra a continuación:  Ver aquí

Contador de Decenas

Imagen
 Para este video se hizo un repaso de la estructura del código, para el desarrollo de estos circuitos lógicos usando el lenguaje VHDL así como también su simulación usando el software de Xilinx. El enlace a Google Drive se muestra a continuación:  Ver aquí!

Teclado Matricial

Imagen
Para este video se hizo un repaso de la estructura del código, para el desarrollo de estos circuitos lógicos usando el lenguaje VHDL así como también su simulación usando el software de Xilinx. El enlace a Google Drive se muestra a continuación:  Ver aquí!